Emeritus Professors

蔡坤諭 Tsai, Kuen-Yu

  • Associate Professor, Department of Electrical Engineering, National Taiwan University
  • Ph.D. Stanford University, 2002
  • M.S. National Taiwan University, 1997
  • B.S. National Taiwan University, 1995
  • PhD major: Aeronautics and Astronautics; minor: Electrical Engineering
  • Office : MD 724
  • TEL : +886-2-33663689; +886-2-33669638
  • FAX : +886 2 23671909
  • Email :
  • Office Hour : Appointment via Ms. Yi-Ru Chen (diochen@ntu.edu.tw, +886 2 33669638)
  • Website :
圖片
Publication's top

Major Research Areas

Nanolithography/nanopatterning process, equipment, and software technologies; Nanoscale integrated-circuit design for manufacturability and invariability; Nanoscale detection and control systems; High-precision optomechatronics; Multiobjective-robust-optimal control; System identification; Convex optimization and its engineering applications

Research Summary

Dr. Tsai's main research theme is the design and application of advanced control, simulation, signal processingoptimization, and machine learning techniques to solve nanolithography and general nanotechnology related problems, with a current focus on the design and fabrication techniques of exploratory nanoscale integrated circuits at the IRDS 10 nm half-pitch node (aka "2 nm node") and beyond. More recently he has increased aerospace-related research activities. He has also conducted research on control system design automation, automotive and aerospace electronics,  consumer electronics, and biomedical equipment design. His research group at the Nanoscale Design and Fabrication Systems Lab (NDFSL), Particle Beam Precision Patterning and Imaging Lab (PBPPIL), and High-Performance Servo Systems Lab (HPSSL) has been studying topics including, e.g.,

(1) Nanolithography processes and equipment: Next-generation lithography (NGL) based on multiple-charged-particle-beam-direct-write (MCPBDW), extreme ultraviolet (EUV) light, and directed self-assembly (DSA); Ion beam imaging and patterning. (2) Nanolithography software: Lithography/etching simulation, model calibration, and resolution enhancement techniques (RETs); Design for manufacturability (DFM); Charged-particle optics design optimization. (3) Nanolithography process and equipment control: Advanced process control (APC), advanced equipment control (AEC), and fault detection/classification (FDC); High-accuracy alignment and overlay algorithms; Optimal lens adjustment. (4) Circuit design automation by control and optimization techniques: Optimal design of sensor/actuator/power/computation circuits with control system perspectives. Automatic circuit/layout generation and optimization. Design for radiation hardening. (5) Sensor/actuator array systems, signal processing, and real-time control: Electron-beam position monitor system based on MEMS and sensor fusion technologies. Mixed-signal circuit design for laser interferometers. High-performance motion systems, e.g. micro laser scanning mirrors. Laser speckle reduction. ADAS sensor analysis and robustness evaluation. (6) Automotive and aerospace electronic systems: Permanent magnet synchronous motor control system analysis and design for mild hybrid vehicles. Active/semi-active suspension systems. (7) Design and control of UAV systems: Optimal design and control of electrical VTOL (eVTOL) aircraft. Control and optimization in advanced air mobility (AAM) systems.

Dr. Tsai is open to establishing new research topics based on student interests and industry demands.

NTU EE Research Divisions Automatic Control, Electronic Design Automation

Teaching Control Systems (2019 Spr.), Digital Control Systems (2020 Spr.), System Identification (2020 Aut.), Nonlinear/Convex Optimization (2019 Aut.), VLSI Design for Manufacturability

Talks

 "Introduction to Lithography for Nanometer VLSI Manufacturing" (2006/12/21 at NTHU CS dept. and 2007/03/09 at EDA Forum in Taiwan)

"NGL Research Activities at NTU" (2006/12/12 EVU Workshop at NSRRC, Taiwan)

"From Control to Nanofabrication -- A System Engineer's Vision" (2004/03/24 at NTU EE dept.)

Favorite Quotes

"There's plenty of room at the bottom." – Richard P. Feynman

"No exponential is forever: but "Forever" can be delayed!" – Gordon E. Moore

"The road to hell is paved with good intentions."

Article Links

How William Shockley's Robot Dream Helped Launch Silicon Valley, IEEE Spectrum, 2013

Trailblazers in Solid-State Electronics, IEEE Industrial Electronics Magazine, IEEE 5(4), pp. 46-47, 2011

Group Staff

Ms. Yi-Ru Chen, Adminstrative Research Assistant. diochen@ntu.edu.tw +886-2-33669638

Mr. Jia-Syun Cai, Technology Research Specialist. d11943003@ntu.edu.tw +886-2-33663704

Funding info. for prospective graduate students Full-time graduate students are adequately supported regardless of research topic(s) chosen.

Positions One Postdoctoral Researcher position is currently open.

    Dr. Kuen-Yu Tsai was born in Taipei, Taiwan, in 1973. He received his B.Sc. degree in 1995 and his M.Sc. degree in 1997, both in mechanical engineering, from National Taiwan University. From 1995 to 1997, he was a Research Assistant of National Science Council (the predecessor of Ministry of Science and Technology), Taiwan, working on projects led by Prof. Jia-Yush Yen regarding ultra-precision wafer positioning problems in photolithography systems and an interferometer-limited resolution of 5 nm was achieved. From 1998 to 2002, he was a Ph.D. student in Department of Aeronautics and Astronautics, and a Research Assistant of Information Systems Laboratory in Department of Electrical Engineering, both at Stanford University. He received his Ph.D. degree in aeronautics and astronautics, with a minor in electrical engineering. He worked on DARPA and NSF projects aiming at applying multivariable control, simulation, optimization, and signal processing techniques to semiconductor manufacturing problems, a multidisciplinary research direction pioneered and led by Prof. Thomas Kailath (IEEE Medal of Honor, 2007) in the 1990s and early 2000s which turned out to be highly successful and influential to both the academia and the industry worldwide. He developed innovative control and signal processing algorithms targeting at nanoimprint-based next-generation lithography systems (partly under Prof. R. Fabian Pease's supervision), and obtained one US patent granted and the other pending. He closed his dissertation work under the guidance of Prof. Stephen P. Boyd (IEEE Control Systems Award, 2013).

    From 2002 to 2005, Dr. Tsai was a Senior Process Engineer in lithography of Intel Corporation. At Intel he worked on performance monitoring and improvement of 193-nm microlithography scanners at Fab-D1C in Hillsboro, Oregon, and Fab-11X in Rio Rancho, New Mexico, for Intel's P1262 90-nm process technology with then-just-introduced 300-mm wafer facilities. He also conducted research projects under the supervision of Dr. Alan R. Stivers in the Advanced Mask Technology group of Components Research in Santa Clara, California, on defect inspection specifications and inspection tool development for EUV lithography then targeted for the ITRS 32 nm half-pitch node (aka "16/14 nm node") and beyond.

    Since 2005, Dr. Tsai has joined the faculty of National Taiwan University, starting as an Assistant Professor in Department of Electrical Engineering. He has founded and served as the directors of Nanoscale Design and Fabrication Systems Lab (NDFSL), Particle Beam Precision Patterning and Imaging Lab (PBPPIL), and High-Performance Servo Systems Lab (HPSSL) where he conducts cutting-edge, industry-application-oriented research with his graduate students and research associates. He has been affiliated with Graduate Institute of Electronics Engineering and System-on-Chip Center of NTU since 2008, and TSMC-NTU Research Center of NTU since its establishment in 2013, and in collaboration with Mechanical and Mechatronics Systems Research Laboratories of ITRI since 2016. He is an active researcher in nanolithography and design for manufacturability for nanoscale integrated circuits. He is one of the key initiators, advocates, and educators of the Taiwanese research efforts on EUV lithography, multiple-electron-beam-direct-write lithography, helium and neon ion beam imaging and nanopatterning, and design for manufacturability in integrated-circuit applications.

    Dr. Tsai is a member of AVS, IEEE, SPIE, and the Phi Tau Phi Scholastic Honor Society.

top

Journal articles & book chapters

1. Chien-Lin Lee, Jia-Syun Cai, Sheng-Wei Chien, Kuen-Yu Tsai*, Jia-Han Li, Chao-Te Lee, “Precision fabrication of EUVL programmed defects with helium ion beam patterning” , Journal of Micro/Nanopatterning, Materials, and Metrology , Vol. Volume 20, Number 2 , 023001-, Apr. 2021

2. Jia-Syun Cai, Sheng-Wei Chien, Xin-Yang Zheng, Chien-Lin Lee, Kuen-Yu Tsai*, “Efficient electrical characteristics estimation techniques for sub 20 nm FDSOI integrated circuits with non-rectangular gate patterning effects” , Journal of Micro/Nanopatterning, Materials, and Metrology , Vol. Volume 20, Number 3 , 033401-, Jul. 2021

3. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, Chun-Hung Liu, “Investigation on helium ion beam lithography with proximity effect correction” , Journal of Micro/Nanopatterning, Materials, and Metrology , Vol. Volume 20, Number 3 , 033201-, Jul. 2021

4. Pulikanti Guruprasad Reddy*, Neha Thakur, Chien-Lin Lee, Sheng-Wei Chien, Chullikkattil P. Pradeep, Subrata Ghosh*, Kuen-Yu Tsai*, and Kenneth E. Gonsalves*, “Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness” , AIP Advances , Vol. 7 , 085314-, Aug. 2017

5. Ting-Hang Pei*, Kuen-Yu Tsai, and Jia-Han Li, “Comparison of the vectorial diffraction theory and Fraunhofer approximation method on diffractive images of Fresnel zone plates” , Optical and Quantum Electronics , Vol. Volume 47, Issue 7 , 1557-1567-, Jul. 2015

6. Hsuan-Ping Lee, Sheng-Yung Chen, Chun-Hung Liu, Ding-Qi, Yu-Tian Shen, and Kuen-Yu Tsai*, “Design of an electron-optical system with a ball-tip emission source through a numerical optimization method for high-throughput electron-beam–direct-write lithography” , Japanese Journal of Applied Physics , Vol. 54 , 06FD01-, May 2015

7. Yen-Min Lee, Szu-Hung Chen, Chen-Pin Hsu, Pei-Chuen Chiou, Kuen-Yu Tsai, Tien-Tung Chung, Cheng-Han Tsai, Zhan-Yu Liu, Jia-Han Li*, “Supplementary zones-surrounded Fresnel zone plate with enhanced optical resolution” , Journal of Optics , Vol. Volume 17, Number 8 , 085608-, Aug. 2015

8. Ting-Hang Pei *, Feng-Chun Yeh, Kuen-Yu Tsai, Jia-Han Li, Zu-Rong Liu, Chang-Li Hung, “Simulation and experiment of speckle reduction by the beam splitting method on a pico-projection system” , Advanced Materials Research , Vol. Volume 933 , 572-577-, May 2014

9. Yen-Min Lee, Jia-Han Li*, Fu-Min Wang, Hsin-Hung Cheng, Yu-Tian Shen, Kuen-Yu Tsai, Jason Shieh, and Alek Chen, “Optical scatterometry system for detecting specific line edge roughness of resist gratings subject to detector noises” , Journal of Optics , Vol. Volume 16, Number 6 , 065706-, May 2014

10. Yen-Min Lee, Hsin-Hung Cheng, Jia-Han Li*, Kuen-Yu Tsai, and Yu-Tian Sheng, “Refractive index and effective thickness measurement system for the RGB color filter coatings with absorption and scattering properties” , Journal of Display Technology , Vol. Volume 10, Number 1 , 57-70-, Jan. 2014

11. Philip C. W. Ng, Kuen-Yu Tsai*, and Lawrence S. Melvin III, “Study of etching bias modeling and correction strategies for compensation of patterning process effects” , Microelectronic Engineering , Vol. Volume 110 , 147–151-, Oct. 2013

12. Yen-Min Lee , Jia-Han Li*, and Kuen-Yu Tsai, “Void-based photonic crystal mirror with high-reflectivity and low-dissipation for extreme-ultraviolet radiation” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 12, Number 4 , 043005-, Oct. 2013

13. Yen-Min Lee, Jia-Han Li*, Tony Wen-Hann Sheu, Kuen-Yu Tsai, and Jia-Yush Yen, “Solution-refined method for electric potential distribution of large-scale electron optics” , Japanese Journal of Applied Physics , Vol. Volume 52, Number 5 , 055202-, May 2013

14. Chun-Hung Liu, Philip C. W. Ng, Yu-Tian Shen, Sheng-Wei Chien, and Kuen-Yu Tsai*, “Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithography” , Journal of Vacuum Science & Technology B (Top 20 Most Downloaded Articles, Feb. 2013) , Vol. Volume 31, Issue 2 , 021605-, Feb. 2013

15. Hoi-Tou Ng, Yu-Tian Shen, Sheng-Yung Chen, Chun-Hung Liu, Philip C. W. Ng, and Kuen-Yu Tsai*, “New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 11, Number 3 , 033007-, Sep. 2012

16. Chun-Hung Liu, Hoi-Tou Ng, and Kuen-Yu Tsai*, “New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithography” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 11, Number 1 , 013009-, Mar. 2012

17. Yi-Hung Kuo, Cheng-Ju Wu, Jia-Yush Yen*, Sheng-Yung Chen, Kuen-Yu Tsai, and Yung-Yaw Chen, “Silicon photodiodes for electron beam position and drift detection in scanning electron microscopy and electron beam lithography system” , Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment , Vol. Volume 645, Issue 1 , 84-89-, Jul. 2011

18. Philip C. W. Ng, Sheng-Wei Chien, Bo-Sen Chang, Kuen-Yu Tsai*, Yi-Chang Lu, Jia-Han Li, and Alek C. Chen, “Impact of process-effect correction strategies on variability of critical dimension and electrical characteristics in extreme ultraviolet lithography” , Japanese Journal of Applied Physics , Vol. Volume 50, Number 6 (Special issue: MNC 2010) , 06GB07-, Jun. 2011

19. Philip C. W. Ng, Kuen-Yu Tsai*, Yen-Min Lee, Fu-Min Wang, Jia-Han Li, and Alek C. Chen, “Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 10, Issue 1 , 013004-, Mar. 2011

20. Chien-Wei Chiu, Ting-Wei Liao, Kuen-Yu Tsai, Fu-Min Wang, Yuen-Wuu Suen*, and Chieh-Hsiung Kuan*, “Fabrication method of high-quality Ge nanocrystals on patterned Si substrates by local melting point control” , Nanotechnology , Vol. 22 , 275604-, May 2011

21. Sheng-Yung Chen, Hoi-Tou Ng, Shiau-Yi Ma, Hsing-Hong Chen, Chun-Hung Liu, and Kuen-Yu Tsai*, “Lithography-patterning-fidelity-aware electron-optical system design optimization” , Journal of Vacuum Science and Technology B , Vol. Volume 29, Number 6 , 06FD04-, Dec. 2011

22. Philip C. W. Ng, Kuen-Yu Tsai*, and Lawrence S. Melvin III, “Non-delta-chrome optical proximity correction methodology for process models with three-dimensional mask effects” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 10, Issue 3 , 033010-, Sep. 2011

23. Sheng-Yung Chen, Kuen-Yu Tsai*, Philip C. W. Ng, Hoi-Tou Ng, Chun-Hung Liu, Yu-Tian Shen, Chieh-Hsiung Kuan, Yung-Yaw Chen, Yi-Hung Kuo, Cheng-Ju Wu, and Jia-Yush Yen, “In situ beam drift detection using a two-dimensional electron-beam position monitoring system for multiple-electron-beam–direct-write lithography” , Journal of Vacuum Science and Technology B , Vol. Volume 29, Number 4 , 041607-, Aug. 2011

24. Yen-Min Lee, Jia-Han Li*, Philip C. W. Ng, Ting-Hang Pei, Fu-Min Wang, Kuen-Yu Tsai, and Alek C. Chen, “Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method” , Journal of Micro/Nanolithography, MEMS, and MOEMS , Vol. Volume 9, Issue 4 , 043003-, Dec. 2010

25. Ting-Hang Pei*, Kuen-Yu Tsai, and Jia-Han Li, “Stochastic simulation of photon propagation in Si for extreme-ultraviolet mask-defect inspection” , Applied Physics Letters , Vol. Volume 97, Issue 6 , 061108-, Aug. 2010

26. Sheng-Yung Chen, Shin-Chuan Chen, Hsing-Hong Chen, Kuen-Yu Tsai*, and Hsin-Hung Pan, “Manufacturability analysis of a micro-electro-mechanical systems–based electron-optical system design for direct-write lithography” , Japanese Journal of Applied Physics , Vol. Volume 49, Number 6 (Special issue: MNC 2009) , 06GE05-, Jun. 2010

27. Kuen-Yu Tsai*, Sheng-Yung Chen, Ting-Han Pei, and Jia-Han Li, “Fresnel zone plate manufacturability analysis for direct-write lithography by simulating focusing and patterning performance versus fabrication errors” , Japanese Journal of Applied Physics , Vol. Volume 49, Number 6 (Special Issue: MNC 2009) , 06GD08-, Jun. 2010

28. Ni Hu, Arthur Tay*, and Kuen-Yu Tsai, “A fast in situ approach to estimating wafer warpage profile during thermal processing in microlithography” , Measurement Science and Technology , Vol. Volume 17, 2006 , 2233-2240-, Jul. 2006

29. Kuen-Yu Tsai* and Haitham A. Hindi, “DQIT: /spl mu/-synthesis without D-scale fitting” , Automatic Control, IEEE Transactions on , Vol. Volume 49, Issue 11 , 2028-2032-, Nov. 2004

30. Kuen-Yu Tsai*, Charles D. Schaper, and Thomas Kailath, “Design of feedforward filters for improving tracking performances of existing feedback control systems” , Control Systems Technology, IEEE Transactions on , Vol. Volume 12, Issue 5 , 742-749-, Sep. 2004

top

Conference & proceeding papers:

1. Kuen-Yu Tsai*, Guang-Yun Meng, Tung-Ling Wu, Ming-Hui Zheng, Wei-Yao Wang, Chih-Ming Kung, Yen-Chuan Chen, Chi-Fa Huang, Tsang-Chieh Hsieh, Hsin-Sheng Hsu, Huei-Der Lin, Jing-Xiang Shi, “eVTOL, UAM, and AAM: Brief development history and implementation outlook of the United States” , 2023 IEEE International Conference on e-Business Engineering (ICEBE) , Sydney, Australia , Nov. 2023

2. Pin-Yuan Su, Jia-Syun Cai, Chien-Lin Lee, Kuen-Yu Tsai*, “An improved transistor sizing method for standard-cell optimization” , Advanced Lithography + Patterning 2023 - Proc. SPIE 12495, DTCO and Computational Patterning II , San Jose, California, USA , Feb. 2023

3. Hsing-Chung Chen*, Yao-Hsien Liang, Jhih-Sheng Su, Kuen-Yu Tsai, Yu-Lin Song, Pei-Yu Hsu, Jia-Syun Cai, “The implement of a reconfigurable intelligence trust chain platform with anti-counterfeit traceable version function for the customized system-module-IC” , Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023) , Toronto, Canada , Jul. 2023

4. Chun-Hung Liu*, Ze-An Ding, and Kuen-Yu Tsai, “A new model-based MPC for high-NA EUV mask imaging contrast enhancement” , The 35th International Microprocesses and Nanotechnology Conference (MNC 2022) , Online/Japan , Nov. 2022

5. Chun-Hung Liu*, Hsiang-Yi Hsieh, Kuen-Yu Tsai, Shuen-Ping Wang, Kuan-Fu Huang, Wei-Yung Hsu, Fei-Ming Huang, Chih-Chiang Wu, Yun-Chin Li, Chieh-Sheng Lee, “Impact of electron scattering in EUV mask absorber materials” , The 47th edition on the Micro and Nano Engineering Conference (MNE2021) , Turin, Italy , Sep. 2021

6. Jia-Syun Cai, Pin-Yuan Su, Meng-Han Chuang, Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, Yu-Ya Chang, Eric Huang, Chun-Cheng Liao, Chiang-Lin Shih, “Standard cell circuit design-technology co-optimization with DUV multiple patterning” , Advanced Lithography 2021 (accepted, to be presented in) , Online/USA , 2021

7. Chun-Hung Liu*, Hsiang-Yi Hsieh, Chieh-Yu Mao, Shuen-Ping Wang, Kuan-Fu Huang, Fei-Ming Huang, Wei- Yung Hsi, Chih-Chiang Wu, Fu-Chu Hsu, Kuen-Yu Tsai, “Electron scattering investigation on advanced ArF and EUV masks with Monte Carlo Method” , The 33th International Microprocesses and Nanotechnology Conference (MNC 2020) , Online/Japan , Nov. 2020

8. Chien-Lin Lee, Jia-Syun Cai, Sheng-Wei Chien, Kuen-Yu Tsai*, “Precision fabrication of EUVL programmed defects with helium ion beam lithography” , Photomask Technology + EUV Lithography 2019 - Proc. SPIE Vol. 11147, International Conference on Extreme Ultraviolet Lithography , Monterey, California, USA , Sep. 2019

9. Chien-Lin Lee, Jia-Syun Cai, Sheng-Wei Chien, Kuen-Yu Tsai*, “Helium ion beam lithography with high patterning fidelity” , (Invited Talk) The 80th JSAP Autumn Meeting, Symposium T20 Advanced ion microscopy - Application for future nano scale materials and devices , Sapporo, Japan , Sep. 2019

10. Chien-Lin Lee, Jia-Syun Cai, Sheng-Wei Chien, Kuen-Yu Tsai*, “Precision fabrication of EUVL programmed defects with helium ion beam patterning” , (invited talk) The 26th Lithography Workshop , La Quinta, California, USA , Nov. 2019

11. Xin-Yang Zheng, Jia-Syun Cai, Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Impacts of limited EUV lithography fidelity on vertical nanowire transistor electrical characteristics” , The 32th International Microprocesses and Nanotechnology Conference (MNC 2019) , Hiroshima, Japan , Oct. 2019

12. Sheng-Wei Chien, Jia-Syun Cai, Chien-Lin Lee, Kuen-Yu Tsai*, James P. Shiely, Matt St. John, “Investigation on MBOPC convergence improvement with location-dependent correction factors aided by machine learning” , Advanced Lithography 2019 -- Proc. SPIE Vo. 10961, Optical Microlithography XXXII , San Jose, California, USA , Mar. 2019

13. Jia-Syun Cai, Sheng-Wei Chien, Xin-Yang Zheng, Chien-Lin Lee, Kuen-Yu Tsai*, “Accuracy improvement of electrical characteristics estimation for sub-20nm FDSOI devices with non-rectangular gates” , Advanced Lithography 2019 -- Proc. SPIE Vol. 10962, Design-Process-Technology Co-optimization for Manufacturability XIII , San Jose, California, USA , Mar. 2019

14. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Simulation-based proximity effect correction for helium ion beam lithography” , (Invited Talk) International workshop on Nano/micro 2d-3d fabrication, manufacturing of electronic – biomedical devices & applications (IWNEBD , Mandi, India , Oct. 2018

15. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Characterization of proximity effects in helium ion beam lithography by direct Monte Carlo simulation and resist calibration” , The 31th International Microprocesses and Nanotechnology Conference (MNC 2018) , Sapporo, Japan , Nov. 2018

16. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Focused helium ion beam applications in advanced-node nanolithography R/D” , (Invited Talk) 1st Annual Zeiss Process Control Innovations Seminar (PCIS) , Singapore , Jul. 2018

17. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Model-based proximity effect correction for helium ion beam lithography” , Advanced Lithography 2018 -- Proc. SPIE Vol. 10584 Novel Patterning Technologies 2018 , San Jose, California, USA , Feb. 2018

18. Chien-Lin Lee, Sheng-Wei Chien, Sheng-Yung Chen, Chun-Hung Liu, Kuen-Yu Tsai*, Jia-Han Li, Bor-Yuan Shew, Chit-Sung Hong, Chao-Te Lee, “Fabrication of metrology test structures with helium ion beam direct write” , Advanced Lithography 2017 -- Proc. SPIE Vol. 10145, Metrology, Inspection, and Process Control for Microlithography XXXI , San Jose, California, USA , Feb. 2017

19. Chien-Lin Lee, Sheng-Wei Chien, Kuen-Yu Tsai*, “Fabrication of programmed defects for non-imaging EUV mask inspection by helium ion beam direct milling” , The 30th International Microprocesses and Nanotechnology Conference (MNC 2017) , JeJu, Korea , Nov. 2017

20. Chien-Lin Lee, Sheng-Wei Chien, Sheng-Yung Chen, Chun-Hung Liu, Kuen-Yu Tsai*, Jia-Han Li, Bor-Yuan Shew, Chit-Sung Hong, Chao-Te Lee, “Fabrication of metrology test structures with helium ion beam direct write” , (Invited Talk) The 1st ZEISS Helium Ion Microscopy Summit , Beijing, China , Sep. 2017

21. Sheng-Wei Chien, Kuen-Yu Tsai*, Jia-Han Li, “Fabrication of metrology test structures with programmed imperfection using helium ion beam direct write” , (Invited Talk) 2016 ZEISS Korea Microscopy Workshop , Gumi, Korea , Jun. 2016

22. Ding Qi, Kuen-Yu Tsai*, and Jia-Han Li, “A new EUV mask blank defect inspection method with coherent diffraction imaging” , (Invited Talk) 2014 International Workshop on EUV Lithography , Maui, Hawaii, USA , Jun. 2014

23. Hao-Yun Yu, Chun-Hung Liu, Yu-Tian Shen, Hsuan-Ping Lee, and Kuen-Yu Tsai*, “Improvement in electron-beam lithography throughput by exploiting relaxed patterning fidelity requirements with directed self-assembly” , Advanced Lithography 2014 -- Proc. SPIE Vol. 9049, Alternative Lithographic Technologies VI , San Jose, California, USA , Feb. 2014

24. Yi-Yeh Yang, Hsuan-Ping Lee, Chun-Hung Liu, Hao-Yun Yu, Kuen-Yu Tsai*, Jia-Han Li, “Direct-scatterometry-enabled PEC model calibration with two-dimensional layouts” , Advanced Lithography 2014 -- Proc. SPIE Vol. 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII , San Jose, California, USA , Feb. 2014

25. Chih-Yu Chen, Philip C. W. Ng, Chun-Hung Liu, Yu-Tian Shen, Kuen-Yu Tsai*, Jia-Han Li, Jason J. Shieh, and Alek C. Chen, “Direct-scatterometry-enabled optical-proximity-correction-model calibration” , Advanced Lithography 2013 -- Proc. SPIE Vol. 8681, Metrology, Inspection, and Process Control for Microlithography XXVII , San Jose, California, USA , Feb. 2013

26. Cheng-Hong Lin, Yi-Chang Lu, Chin-Khai Tang, and Kuen-Yu Tsai, “The effect of NBTI on 3D integrated circuits” , Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), 2012 IEEE , Taipei, Taiwan , Dec. 2012

27. Yen-Min Lee, Jia-Han Li*, Tony Wen-Hann Sheu, Kuen-Yu Tsai, and Jia-Yush Yen, “Solution-refined method for solving large-scale computation problems: Taking the Laplace's equation as an example” , NCHC High-performance Computing Conference , Hsin-Chu, Taiwan , Oct. 2012

28. Sheng-Yung Chen, Shiau-Yi Ma, and Kuen-Yu Tsai*, “Architecture and EOS design flow of a multiple-electron-beam–direct-write system considering patterning fidelity, breakdown effect” , 38th International Micro & Nano Engineering Conference (MNE 2012) , Toulouse, France , Sep. 2012

29. Philip C.W. Ng, Kuen-Yu Tsai*, and Lawrence S. Melvin III, “Study of etching bias modeling and correction strategies for patterning processes” , 38th International Micro & Nano Engineering Conference (MNE 2012) , Toulouse, France , Sep. 2012

30. Chih-Yu Chen, Kuen-Yu Tsai*, Yu-Tian Shen, Yen-Min Lee, Jia-Han Li, Jason J. Shieh, and Alek C. Chen, “Direct-scatterometry-enabled lithography model calibration” , Advanced Lithography 2012 -- Proc. SPIE Vol. 8324, Metrology, Inspection, and Process Control for Microlithography XXVI , San Jose, California, USA , Feb. 2012

31. Yu-Tian Shen, Chun-Hung Liu, Chih-Yu Chen, Hoi-Tou Ng, Kuen-Yu Tsai*, Fu-Ming Wang, Chieh-Hsiung Kuan, Yen-Min Lee, Hsin-Hung Cheng, Jia-Han Li, and Alek C. Chen, “Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples” , Advanced Lithography 2012 -- Proc. SPIE Vol. 8324, Metrology, Inspection, and Process Control for Microlithography XXVI , San Jose, California, USA , Feb. 2012

32. Yen-Min Lee, Jia-Han Li*, Fu-Min Wang, Hsin-Hung Cheng, Yu-Tian Shen, Kuen-Yu Tsai, and Alek C. Chen, “Optical metrology of shape-varying nano-patterned gratings by analyzing the scattering signals in their pupil images” , International Microprocesses and Nanotechnology Conference Digest of Papers , Kyoto, Japan , Oct. 2011

33. Sheng-Yung Chen, Hoi-Tou Ng, Shiau-Yi Ma, Hsing-Hong Chen, Kuen-Yu Tsai*, “Lithography-patterning-fidelity-aware electron-optical system design optimization” , The 55th International Conference on Electron, Ion, Photon Beam Technology and Nanofabrication , Las Vegas, Nevada, USA , May 2011

34. Philip C. W. Ng, Sheng-Wei Chien, Bo-Sen Chang, Kuen-Yu Tsai*, and Yi-Chang Lu, “Impact of process effects correction strategies on critical dimension and electrical characteristics variabilities in extreme ultraviolet lithography” , International Microprocesses and Nanotechnology Conference 2010 Digest of Papers , Fukuoka, Japan , Nov. 2010

35. Fu-Min Wang*, Kuen-Yu Tsai, Jia-Han Li, Alek C. Chen, Yen-Min Lee, Yu-Tian Shen, Hsin-Hung Cheng, and Chieh-Hsiang Kuan, “Fabrication of metrology test structures with programmed line edge roughness using electron beam direct write” , International Microprocesses and Nanotechnology Conference 2010 Digest of Papers , Fukuoka, Japan , Nov. 2010

36. Yen-Min Lee, Jia-Han Li*, Sheng-Yung Chen, Shiau-Yi Ma, Kuen-Yu Tsai, Tony W. H. Sheu, and Jia-Yush Yen, “Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system” , International Microprocesses and Nanotechnology Conference 2010 Digest of Papers , Fukuoka, Japan , Nov. 2010

37. Zhan-Yu Liu, Yao-Jen Tsai, Jia-Han Li*, and Kuen-Yu Tsai, “High spatial resolution and large field intensity by a set of two modified zone plates” , Frontiers in Optics (FiO)/Laser Science XXVI (LS) Conference , Rochester, New York, USA , Oct. 2010

38. Sheng-Yung Chen, Chieh-Chien Huang, Shin-Chuan Chen, Ting-Han Pei, and Kuen-Yu Tsai*, “Analysis of fabrication misalignment effects in a MEMS-based electron-optical system design for direct-write lithography” , The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication , Anchorage, Alaska, USA , Jun. 2010

39. Sheng-Yung Chen, Kuen-Yu Tsai*, Hoi-Tou Ng, Chi-Hsiung Fan, Ting-Han Pei, Chieh-Hsiung Kuan, Yung-Yaw Chen, Yi-Hung Kuo, Cheng-Ju Wu, and Jia-Yush Yen, “Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithography” , The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication , Anchorage, Alaska, USA , Jun. 2010

40. Chun-Hung Liu, Philip Ng, Yu-Tian Shen, Hoi-Tou Ng, and Kuen-Yu Tsai*, “Impacts of point spread function calibration methods on model-based proximity effect correction for electron-beam-direct-write lithography” , The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication , Anchorage, Alaska, USA , Jun. 2010

41. Fu-Min Wang*, Susumu Ono, Hsin-Hung Su, Kuen-Yu Tsai, and Chieh-Hsiung Kuan, “10 nm lines with 14 nm half pitch grating written in HSQ by electron beam direct write at 5 keV” , The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication , Anchorage, Alaska, USA , Jun. 2010

42. Philip C. W. Ng, Kuen-Yu Tsai*, and Lawrence S. Melvin III, “A non-delta-chrome OPC methodology for nonlinear process models” , The 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication , Anchorage, Alaska, USA , Jun. 2010

43. Ming-Shing Su*, Kuen-Yu Tsai, Yi-Chang Lu, Yu-Hsuan Kuo, Ting-Hang Pei, and Jia-Yush Yen, “Architecture for next-generation massively parallel maskless lithography system (MPML2)” , Advanced Lithography 2010 -- Proc. SPIE 7637, Alternative Lithographic Technologies II , San Jose, California, USA , Feb. 2010

44. Ting-Hang Pei, Kuen-Yu Tsai*, and Jia-Han Li, “Stochastic simulation of photon scattering for EUV mask defect inspection” , Advanced Lithography 2010 -- Proc. SPIE 7636, Extreme Ultraviolet (EUV) Lithography , San Jose, California, USA , Feb. 2010

45. Chun-Hung Liu, Pei-Lin Tien, Philip C. W. Ng, Yu-Tian Shen, and Kuen-Yu Tsai*, “Model-based proximity effect correction for electron-beam direct-write lithography” , Advanced Lithography 2010 -- Proc. SPIE 7637, Alternative Lithographic Technologies II , San Jose, California, USA , Feb. 2010

46. Philip C. W. Ng, Kuen-Yu Tsai*, Chih-Hsien Tang, and Lawrence S. Melvin III, “A non-delta-chrome OPC methodology for process models with three-dimensional mask effects” , Advanced Lithography 2010 -- Proc. SPIE 7640, Optical Microlithography XXIII , San Jose, California, USA , Feb. 2010

47. Kuen-Yu Tsai*, Wei-Jhih Hsieh, Yuan-Ching Lu, Bo-Sen Chang, Sheng-Wei Chien, Yi-Chang Lu, “A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects” , ASP-DAC 2010, The 15th Asia and South Pacific Design Automation Conference , Taipei, Taiwan , Jan. 2010

48. Ting-Hang Pei, Kuen-Yu Tsai*, and Jia-Han Li, “Effects of Fresnel zone plate fabrication errors on focusing performances” , International Microprocesses and Nanotechnology Conference 2009 Digest of Papers , Sapporo, Japan , Nov. 2009

49. Philip C. W. Ng, Kuen-Yu Tsai*, Yen-Min Lee, Ting-Han Pei, Fu-Min Wang, Jia-Han Li, and Alek C. Chen, “A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows” , Lithography Asia 2009 -- Proc. SPIE Vol. 7520 , Taipei, Taiwan , Nov. 2009

50. Yen-Min Lee*, Jia-Han Li, Philip C. W. Ng, Ting-Han Pei, Fu-Min Wang, Kuen-Yu Tsai, and Alek C. Chen, “Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method” , Lithography Asia 2009, Proc. SPIE Vol. 7520, 75200W , Taipei, Taiwan , Nov. 2009

51. Sheng-Yung Chen, Kuen-Yu Tsai*, Hoi-Tou Ng, Chi-Hsiang Fan, Ting-Hang Pei, Chieh-Hsiung Kuan, Yung-Yaw Chen, and Jia-Yush Yen, “Preliminary design of a two-dimensional electron beam position monitor system for multiple-electron-beam-direct-write lithography” , Lithography Asia 2009 -- Proc. SPIE Vol. 7520 , Taipei, Taiwan , Nov. 2009

52. Hoi-Tou Ng, Chun-Hung Liu, Hsing-Hong Chen, Kuen-Yu Tsai*, “Determination of Gaussian beam and raster scan parameters in electron-beam-direct-write lithography considering device patterning and performance variability” , Lithography Asia 2009 , Taipei, Taiwan , Nov. 2009

53. Sheng-Yung Chen, Shin-Chuan Chen, Hsing-Hong Chen, Ting-Han Pei, Kuen-Yu Tsai*, and Hsin-Hung Pan, “Manufacturability analysis of a MEMS-based electron-optical system design for direct-write lithography” , International Microprocesses and Nanotechnology Conference 2009 Digest of Papers , Sapporo, Japan , Nov. 2009

54. Fu-Min Wang*, S. Ono, Hsing-Hong Su, Kuen-Yu Tsai, and Chieh-Hsiung Kuan, “High resolution electron beam direct write on ZEP520 at 5 KeV” , International Microprocesses and Nanotechnology Conference 2009 Digest of Papers , Sapporo, Japan , Nov. 2009

55. Chih-Hsien Tang*, Kuen-Yu Tsai, “A simple design rule check for DP decomposition” , Design Automation Conference 2009 , San Francisco, California, USA , Jul. 2009

56. Jia-Yush Yen*, Cheng-Hung Chen, Lien-Sheng Chen, Kuen-Yu Tsai, and Shuo-Hung Chang, “Hybrid servo design for large area nano pattern stitching” , Advanced Intelligent Mechatronics, 2009. AIM 2009. IEEE/ASME International Conference on , Singapore , Jul. 2009

57. Chun-Hung Liu, Hoi-Tou Ng, Kuen-Yu Tsai*, “A new parametric proximity effect model calibration method for improving accuracy of post-lithography patterning prediction in sub-32-nm half-pitch low-voltage electron beam direct-write lithography” , The 53th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication 2009 , Marco Island, Florida, USA , May 2009

58. Hoi-Tou Ng, Chun-Hung Liu, Hsing-Hong Chen, Kuen-Yu Tsai*, “Selection of Gaussian-beam and raster-scan parameters in electron-beam direct-write lithography considering device patterning and performance variability” , Advanced Lithography 2009 , San Jose, California, USA , Feb. 2009

59. Kuen-Yu Tsai*, Meng-Fu You, Yi-Chang Lu, and Philip C. W. Ng, “A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects” , ICCAD 2008, IEEE/ACM International Conference on Computer-Aided Design , San Jose, California, USA , Nov. 2008

60. Chun-Hung Liu, Hoi-Tou Ng, Philip C. W. Ng, Kuen-Yu Tsai*, Shy-Jay Lin, and Jeng-Horng Chen, “A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography” , Lithography Asia 2008 -- Proc. SPIE Vol. 7140 , Taipei, Taiwan , Nov. 2008

61. Yi-Sheng Su, Philip C. W. Ng, Kuen-Yu Tsai*, and Yung-Yaw Chen, “Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergence” , Advanced Lithography 2008 -- Proc. SPIE Vol. 6924, Optical Microlithography XXI , San Jose, California, USA , Mar. 2008

62. Meng-Fu You, Philip C. W. Ng, Yi-Sheng Su, Kuen-Yu Tsai*, and Yi-Chang Lu, “Impacts of optical proximity correction settings on electrical performances” , Advanced Lithography 2007 -- Proc. SPIE Vol. 6521, Design for Manufacturability through Design-Process Integration , San Jose, California, USA , Mar. 2007

63. Arthur Tay*, Weng-Khuen Ho, Ni Hu, Choon-Meng Kiew, and Kuen-Yu Tsai, “Real-time spatial control of photoresist development rate” , Advanced Lithography 2007 -- Proc. SPIE Vol. 6518, Metrology, Inspection, and Process Control for Microlithography , San Jose, California, USA , Mar. 2007

64. Yea-Chin Yeh, Chun-Hung Liu, Kuen-Yu Tsai*, Yu-Chen Kung, Jia-Yush Yen*, and Jyh-Fa Lee, “Identifications of the PZT Actuated Novel Optical Scanning System” , IFAC Workshop on Advanced Process Control for Semiconductor Manufacturing , Singapore , Dec. 2006

65. Chun-Hung Liu, Yea-Chin Yeh, Kuen-Yu Tsai*, Jia-Yush Yen*, Arthur Tay, and Jyh-Fa Lee, “Feedback control of piezo-based nanopositioning systems for semiconductor manufacturing” , IFAC Workshop on Advanced Process Control for Semiconductor Manufacturing , Singapore , Dec. 2006

66. Arthur Tay*, Weng-Khuen Ho, Ni Hu, Kuen-Yu Tsai, and Ying Zhou, “Real-time spatial control of steady-state wafer temperature during thermal processing in microlithography” , Advanced Lithography 2006 -- Proc. SPIE Vol. 6155, Data Analysis and Modeling for Process Control II , San Jose, California, USA , Mar. 2006

67. Kuen-Yu Tsai*; Eric M. Gullikson, Patrick Kearney, and Alan R. Stivers, “On the sensitivity improvement and cross-correlation methodology for confocal EUV mask blank defect inspection tool fleet” , 25th Annual BACUS Symposium on Photomask Technology -- Proc. of SPIE Vol. 5992 , Monterey, California, USA , Oct. 2005

68. Arthur Tay*, Weng Khuen Ho, Christopher Yap, Chen Wei, and Kuen-Yu Tsai, “In-situ fault detection of wafer warpage in lithography” , Proceedings of 16th IFAC World Congress , Prague, Czech Republic , Jul. 2005

69. Eric M. Gullikson*, Edita Tejnil, Kuen-Yu Tsai, Alan R. Stivers, and H. Kusunose, “Modeling the defect inspection sensitivity of a confocal microscope” , Microlithography 2005 -- Proc. SPIE Vol. 5751, Emerging Lithographic Technologies IX , San Jose, California, USA , Mar. 2005

70. Arthur Tay*, Weng-Khuen Ho, Xiaodong Wu, and Kuen-Yu Tsai, “Real-time control of photoresist absorption coefficient uniformity” , Microlithography 2005 -- Proc. SPIE Vol. 5755, Data Analysis and Modeling for Process Control II , San Jose, California, USA , Mar. 2005

71. Kuen-Yu Tsai* and Haitham A. Hindi, “DQIT: /spl mu/-synthesis without D-scale fitting” , Proceedings of the American Control Conference, v 1, 2002 , Anchorage, Alaska, USA , May 2002

72. Kuen-Yu Tsai*, Charles D. Schaper, and Thomas Kailath, “Design of feedforward filters for improving tracking performances of existing feedback control systems” , Proceedings of the American Control Conference, v 6, 2002 , Anchorage, Alaska, USA , May 2002

73. Kuen-Yu Tsai* and Jia-Yush Yen, “Servo system design of a high-resolution piezo-driven fine stage for step-and-repeat microlithography systems” , Industrial Electronics Society, 1999. IECON '99 Proceedings. The 25th Annual Conference of the IEEE v 1, 1999 , San Jose, California, USA , Nov. 1999

top top

Patents:

1. Kuen-Yu Tsai*, Chun-Hung Liu (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method and System for Establishing Parametric Model (參數化模型的建立方法及系統)” , ROC (Taiwan) I575392, Mar. 2017

2. Kuen-Yu Tsai*, Miin-Jang Chen, Si-Chen Lee (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Projection Patterning With Exposure Mask” , United States Patent 9,570,301, Feb. 2017

3. Kuen-Yu Tsai*, Alek C. Chen, and Jia-Han Li (National Taiwan University/ASML Netherlands B.V.), “Method for Calibrating A Manufacturing Process Model” , United States Patent 9,541,500, Jan. 2017

4. Kuen-Yu Tsai*, Min-Jang Chen, Samuel C. Pan (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Process for Fabricating Integrated Circuit (積體電路的製程)” , ROC (Taiwan) I541860, Jul. 2016

5. Kuen-Yu Tsai*, Chun-Hung Liu (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method and System for Establishing Parametric Model” , United States Patent 9,418,049, Aug. 2016

6. Kuen-Yu Tsai*, Meng-Fu You, and Yi-Chang Lu (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Determining Proximity Effect Parameters for Non-Rectangular Semiconductor Structures” , United States Patent 9,087,173, Jul. 2015

7. Jia-Han Li*, Yen-Min Lee, Kuen-Yu Tsai (National Taiwan University), “Multilayer Mirror Structure (多層反射鏡結構)” , ROC (Taiwan) I494616, Aug. 2015

8. Kuen-Yu Tsai*, Sheng-Yung Chen, Hoi-Tou Ng, and Shiau-Yi Ma (National Taiwan University), “Method and Apparatus For Designing Patterning Systems Considering Patterning Fidelity (基於圖案製作真確度之圖案製作系統設計方法與裝置)” , ROC (Taiwan) I439822, Jun. 2014

9. Kuen-Yu Tsai*, Chun-Hung Liu, Chooi-Wan Ng, and Pei-Lin Tien (National Taiwan University), “Method for Compensating Proximity Effect of Particle Beam Lithography Process (粒子束微影程序鄰近效應之補償方法)” , ROC (Taiwan) I436174, May 2014

10. Kuen-Yu Tsai*, Sheng-Yung Chen (National Taiwan University), “Method for Adjusting Status of Particle Beams for Patterning A Substrate and System Using the Same (於一基板上製作圖案時之粒子束狀態調整方法及其系統)” , ROC (Taiwan) I449076, Aug. 2014

11. Kuen-Yu Tsai*, Sheng-Yung Chen, Jia-Yush Yen, Yung-Yaw Chen, Chi-Hsiang Fan (National Taiwan University), “System and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變監測系統及其方法)” , ROC (Taiwan) I452598, Sep. 2014

12. Kuen-Yu Tsai*, Sheng-Yung Chen (National Taiwan University), “Apparatus and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變之估測裝置及其方法)” , ROC (Taiwan) I441233, Jun. 2014

13. Jia-Yush Yen*, Kuen-Yu Tsai, Lien-Sheng Chen, Pablo Chiu, and Hsin-Fan Tsai, “Electron-Beam Lithographic Method, System and Method For Controlling Electron-Beam Servo (電子束微影方法、電子束微影伺服控制方法及系統)” , ROC (Taiwan) I438818, May 2014

14. Yu-Hsuan Kuo*, Ming-Shing Su, Yi-Chang Lu, Kuen-Yu Tsai, “Electron Beam Exposure Apparatus, Electron Beam Generation Apparatus and Exposure Method (電子束曝光裝置、電子束產生裝置及曝光方法)” , ROC (Taiwan) I410757, Oct. 2013

15. Kuen-Yu Tsai*, Wei-Jhih Hsieh, and Bo-Sen Chang (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method for Improving Accuracy of Parasitics Extraction Considering Sub-Wavelength Lithography Effects” , United States Patent 8,438,505, May 2013

16. Kuen-Yu Tsai*, Chun-Hung Liu, Chooi-Wan Ng, and Pei-Lin Tien (National Taiwan University), “Method for Compensating Proximity Effects of Particle Beam Lithography Processes” , United States Patent 8,539,392, Sep. 2013

17. Kuen-Yu Tsai*, Chooi-Wan Ng, Yi-Sheng Su (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method for Compensating Effect of Patterning Process and Apparatus Thereof” , United States Patent 8,578,303, Nov. 2013

18. Kuen-Yu Tsai*, Sheng-Yung Chen, Hoi-Tou Ng, and Shiau-Yi Ma (National Taiwan University), “Method and Apparatus for Designing Patterning System Based on Patterning Fidelity” , United States Patent 8,490,033, Jul. 2013

19. Kuen-Yu Tsai* (Stanford University), “Method for Fast Design of Multi-objective Frequency-shaping Equalizers” , US Patent 6,992,542 B2, Jan. 2006

20. Kuen-Yu Tsai* and Haitham A. Hindi (Stanford University), “Method for Design of Multi-objective Robust Controllers” , (abandoned) United States Patent Application 20040225383, Nov. 2004

21. Kuen-Yu Tsai*, Sheng-Yung Chen, Jia-Yush Yen, Yung-Yaw Chen, Chi-Hsiang Fan (National Taiwan University), “System and Method for Estimating Change of Status of Particle Beams” , (pending) United States Patent Application 20120112086, Jan. 0

22. Kuen-Yu Tsai*, Sheng-Yung Chen (National Taiwan University), “Method for Adjusting Status of Particle Beams for Patterning A Substrate And System Using The Same” , (pending) United States Patent Application 20120112091, Jan. 0

23. Kuen-Yu Tsai*, Sheng-Yung Chen (National Taiwan University), “Apparatus and Method for Estimating Change of Status of Particle Beams” , (pending) United States Patent Application 20120112065, Jan. 0

24. Miin-Jang Chen*, Kuen-Yu Tsai, Chee-Wee Liu (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method For Non-Resist Nanolithography” , (pending) United States Patent Application 20150340469, Jan. 0

25. Kuen-Yu Tsai*, Miin-Jang Chen, Samuel C. Pan (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Charged-Particle-Beam Patterning Without Resist” , (pending) United States Patent Application 20150221514, Jan. 0

26. Jia-Han Li*, Yen-Min Lee, Kuen-Yu Tsai (National Taiwan University), “Multilayer Mirror Structure” , (pending) United States Patent Application 20150212427, Jan. 0

27. Kuen-Yu Tsai*, Sheng-Yung Chen, and Shih-Chuan Chen (National Taiwan University), “Method and Computer-Aided Design System Of Manufacturing An Optical System” , (pending) United States Patent Application 20130297061, Jan. 0

28. Kuen-Yu Tsai* and Chun-Hung Liu (National Taiwan University/Taiwan Semiconductor Manufacturing Company), “Method and System for Establishing Parametric Model (參數化模型的建立方法及系統)” , ROC (Taiwan) (pending), Jan. 0

top

Other publication:

1. 蔡佳勳、蔡坤諭*、陳興忠、莊旻儒、李建霖, “符合 IT 與 OT 資安規範之半導體製程具可擴充性微隔離場域之資安系統架構設計” , 科儀新知雜誌,2023年3月號 第234期, Mar. 2023

2. 陳興忠、蔡坤諭*、梁曜顯、許倍瑜、蔡佳勳, “具可重組信賴供應鏈服務監控系統” , 2023全國大專校院智慧創新暨跨域整合創作競賽 佳作, Oct. 2023

3. 蔡坤諭*,李建霖, “新世代奈米微影製程設備發展趨勢與展望” , 機械工業雜誌,2022年6月號 第471期, Jun. 2022

4. 李建霖、王亘黼、蔡坤諭*、錢盛偉、蔡佳勳、董福慶、王慶鈞, “氦離子束檢測技術簡介及其於高深寬比結構鍍膜製程研發之應用” , 機械工業雜誌,2021年6月號 第459期, Jun. 2021

5. 李建霖,蔡坤諭*,錢盛偉, “聚焦氦離子束微影與鄰近效應修正技術” , 機械工業雜誌,2019年6月號 第435期, Jun. 2019

6. 李建霖,蔡坤諭*,錢盛偉, “先進製程缺陷檢測設備性能測試標準樣本製作技術” , 機械工業雜誌,2018年9月號 第426期, Sep. 2018

7. 蔡坤諭*、李建霖、錢盛偉, “極紫外光微影光罩檢測技術” , 機械工業雜誌,2018年6月號 第423期, Jun. 2018

8. 劉俊宏,余浩澐,蔡坤諭*, “讓摩爾定律成真的關鍵:微影技術 — 影響七十億以上個未來” , 臺大電機系科普系列, Dec. 2013

9. 蔡坤諭*, “次世代微影技術發展現況與展望:極紫外光光罩檢測技術之現況與展望” , 電子月刊,2008年9月號 第158期, Sep. 2008

10. Kuen-Yu Tsai*, “Design of Feedforward and Feedback Controllers by Signal Processing and Convex Optimization Techniques” , PhD Dissertation, Stanford University (Dissertation advisor: Prof. Stephen P. Boyd; Research advisor: Prof. Thomas Kailath), Sep. 2002

11. Kuen-Yu Tsai*, “Servo System Design of a High-Resolution Piezo-Driven Three Degree-of-Freedom Fine Stage for Integrated-Circuit Wafer Step-and-Repeat Lithography Systems” , Master Thesis, National Taiwan University (advisor: Prof. Jia-Yush Yen), Jul. 1997